首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Sfp Eternet 传输数据

Sfp Eternet 传输数据

  • 资源大小:4.59 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: TCP/IP协议 verilog 传输 数据

资 源 简 介

毫升 605 xilinx 板延伸板 S14-S (4sfp 端口) 的代码。用于传输数据,从光线路到 rj-45 以太网和接收 PC 上的 sfp 模块的项目。使用容量 6 三模式以太网 MAC 包装。PC1 (以太网)-> 媒体转换器 (1,25 Gb/s)-> 光线路-> sfp-module(1,25Gb/s)-> 毫升 605-> ethernet(1,25Gb/s)-> PC2

文 件 列 表

_ngo
netlist.lst
_xmsgs
bitgen.xmsgs
map.xmsgs
ngdbuild.xmsgs
par.xmsgs
pn_parser.xmsgs
trce.xmsgs
xst.xmsgs
pn_parser.xmsgs
cg.xmsgs
pn_parser.xmsgs
xst.xmsgs
ipcore_dir
clk_wiz_v3_2.asy
clk_wiz_v3_2.ejp
clk_wiz_v3_2.gise
clk_wiz_v3_2.sym
clk_wiz_v3_2.v
clk_wiz_v3_2.veo
clk_wiz_v3_2.vhd
clk_wiz_v3_2.vho
clk_wiz_v3_2.xco
clk_wiz_v3_2.xise
clk_wiz_v3_2_exdes.ncf
clk_wiz_v3_2_flist.txt
clk_wiz_v3_2_xmdf.tcl
clock_generator.gise
clock_generator.ncf
clock_generator.vhd
clock_generator.vho
clock_generator.xco
clock_generator.xise
clock_generator_flist.txt
coregen.cgc
coregen.cgp
coregen.log
edit_clk_wiz_v3_2.tcl
edit_clock_generator.tcl
icon.asy
icon.gise
icon.ngc
icon.v
icon.veo
icon.xco
icon.xise
icon_flist.txt
icon_readme.txt
icon_xmdf.tcl
ila.asy
ila.cdc
ila.gise
ila.ngc
ila.v
ila.veo
ila.xco
ila.xise
ila_flist.txt
ila_readme.txt
ila_xmdf.tcl
sysmon_wiz_v2_1.gise
sysmon_wiz_v2_1.vhd
sysmon_wiz_v2_1.vho
sysmon_wiz_v2_1.xco
sysmon_wiz_v2_1.xise
tmp
v6_emac_v1_5
v6_emac_v1_5.asy
v6_emac_v1_5.gise
v6_emac_v1_5.veo
v6_emac_v1_5.xco
v6_emac_v1_5.xise
v6_emac_v1_5_flist.txt
v6_emac_v1_5_xmdf.tcl
_xmsgs
iseconfig
qqq.projectmgr
v6_emac_v1_5_example_design.xreport
xlnx_auto_0_xdb
cst.xbcd
xst
dump.xst
projnav.tmp
work
qqq.gise
v6_emac_v1_5_example_design_envsettings.html
v6_emac_v1_5_example_design_summary.html
webtalk.log
usage_statistics_webtalk.html
v6_emac_v1_5_example_design.bgn
v6_emac_v1_5_example_design_summary.xml
v6_emac_v1_5_example_design_usage.xml
v6_emac_v1_5_example_design_bitgen.xwbt
v6_emac_v1_5_example_design.drc
v6_emac_v1_5_example_design.cmd_log
v6_emac_v1_5_example_design.ut
v6_emac_v1_5_example_design.twr
v6_emac_v1_5_example_design.twx
v6_emac_v1_5_example_design.ncd
v6_emac_v1_5_example_design.pad
v6_emac_v1_5_example_design.par
v6_emac_v1_5_example_design.unroutes
v6_emac_v1_5_example_design.xpi
v6_emac_v1_5_example_design_guide.ncd
v6_emac_v1_5_example_design_pad.csv
v6_emac_v1_5_example_design_pad.txt
v6_emac_v1_5_example_design_par.xrpt
v6_emac_v1_5_example_design.ptwx
v6_emac_v1_5_example_design_map.map
v6_emac_v1_5_example_design_map.mrp
webtalk_pn.xml
v6_emac_v1_5_example_design_map.xrpt
v6_emac_v1_5_example_design_map.ncd
v6_emac_v1_5_example_design.pcf
v6_emac_v1_5_example_design_map.ngm
v6_emac_v1_5_example_design.bld
v6_emac_v1_5_example_design.ngd
v6_emac_v1_5_example_design_ngdbuild.xrpt
v6_emac_v1_5_example_design.stx
v6_emac_v1_5_example_design.syr
v6_emac_v1_5_example_design_xst.xrpt
v6_emac_v1_5_example_design.ngc
v6_emac_v1_5_example_design.ngr
v6_emac_v1_5_example_design.lso
v6_emac_v1_5_example_design.prj
v6_emac_v1_5_example_design.xst
qqq.xise
v6_emac_v1_5_example_design.sym
v6_emac_v1_5_example_design.spl
qq.v
ML605_BRD.ucf
fuse.xmsgs
fuseRelaunch.cmd
xilinxsim.ini
testbench_stx_beh.prj
testbench_isim_beh.wdb
isim.cmd
testbench_isim_beh.exe
v6_emac_v1_5_example_design_isim_beh.exe
qq.v.bak
fan_regulator.vhd
VIP VIP
0.199707s