首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Verilog RS232代码

Verilog RS232代码

  • 资源大小:7.15 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

Verilog RS232代码, 分为三个模块,时钟产生模块,发送数据模块,接收数据模块。 整个工程的功能是,你从串口上位机发送什么数据,串口就将该数据重新发送回上位机

文 件 列 表

RS485
simulation
output_files
incremental_db
db
clock_gen_select.bsf
clock_gen_select.v
clock_gen_select.v.bak
rs232rx.v
rs232rx.v.bak
rs232tx.v
rs232tx.v.bak
RS485.bsf
RS485.dpf
RS485.qpf
RS485.qsf
RS485.qws
RS485.v
RS485.v.bak
RS485_nativelink_simulation.rpt
stp1.stp
stp1_auto_stripped.stp
VIP VIP
0.157216s