首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > ds18b20温度传感器

ds18b20温度传感器

  • 资源大小:1.08 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog

资 源 简 介

ds18b20温度传感器,通过状态机对传感器分别进行初始化,访问寄存器,读取数据,将读取到的数据显示到数码管当中,实现温度传感的功能。18b20主要是3个状态机,一个主状态机和两个嵌入的状态机。

文 件 列 表

ds18b20_module
incremental_db
compiled_partitions
ds18b20_module.root_partition.cmp.logdb
db
ds18b20_module.rtlv.hdb
ds18b20_module_assignment_defaults.qdf
ds18b20_module.pin
ds18b20_control.v
ds18b20_module.fit.rpt
ds18b20_module.fit.summary
smg_temp.v.bak
smg_temp.v
ds18b20_module.sof
ds18b20_module.pof
write_data.v
ds18b20_module.v.bak
ds18b20_module.fit.smsg
ds18b20_data_out.v
ds18b20_module.map.rpt
ds18b20_rst.v
ds18b20_module.qsf
read_data.v
ds18b20_module.done
ds18b20_module.asm.rpt
ds18b20_module.flow.rpt
ds18b20_module.sta.summary
ds18b20_module.v
ds18b20_module.jdi
ds18b20_module.sta.rpt
ds18b20_module.map.summary
ds18b20_module.qpf
ds18b20_module.qws
VIP VIP
0.260902s