首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 编码的 booth 型乘法器

编码的 booth 型乘法器

  • 资源大小:1.37 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog

资 源 简 介

这是编码的 booth 型乘法器。输入具有 32 位和输出是 64 位。您可以使用 is_signed 信号来确定符号和无符号的输入和输出 !

文 件 列 表

multiplier_tb.v
multiplier.v
VIP VIP
0.216517s