首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > PCI 的Verilog开发代码

PCI 的Verilog开发代码

  • 资源大小:483.29 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 代码 开发 PCI

资 源 简 介

本程序为PCI的协议代码,用Verilog语言编写,还有textbench,经过我们工程验证,能顺利的识别出PCI设备

文 件 列 表

PCI_Verilog_FPGA开发
base_addr_chk.v
config_mux.v
glue.v
pargen.v
pci_clk_reset.v
pci_top.v
rd1008.pdf
retry_count.v
state_machine.v
tstbench
tstbench.zip
VIP VIP
0.172308s