首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > I2C通信,verilog语言

I2C通信,verilog语言

  • 资源大小:188.42 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 通信 verilog 通信 语言 IC

资 源 简 介

I2C 通信中只涉及两条信号线,即时钟线 SCL 和数据线 SDA。时钟线为高电平时均可锁存数据(即时钟线上升沿到下降沿之间)。当时钟线 SCL 高电平时,如果把数据线 SDA 从高 电平拉到低电平,则表示通信开始;如果把数据线 SDA 从低电平拉到高电平,则表示通信结束。 代码中分了两个模块,iic_com 模块除了执行和 I2C 通信有关的代码设计外,还有按键检测部分,而 led_seg7 模块只是驱动数码管显示从 AT24C02 指定地址读出的数据。

文 件 列 表

ex10_iic
verilogiic1121
I2C通信实验.pdf
VIP VIP
0.180291s