首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL设计的功能齐全的交通灯程序,经过仿真一切功能符合要求。...

VHDL设计的功能齐全的交通灯程序,经过仿真一切功能符合要求。...

  • 资源大小:578.73 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

VHDL设计的功能齐全的交通灯程序,经过仿真一切功能符合要求。-VHDL

文 件 列 表

VHDL实现的交通灯程序
trafficlightvhdlcode
使用说明请参看右侧注释====〉〉.txt
VIP VIP
0.178524s