首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 单片机的4 am2901完整的VHDL程序,am2901

单片机的4 am2901完整的VHDL程序,am2901

  • 资源大小:7.52 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

4位MCU AM2901的完整VHDL程序,AM2901为主程序,其他为实体库-4 MCU AM2901 complete VHDL program, AM2901-based procedures, other entities, the Treasury

文 件 列 表

basic
am2901_comps.vhd
counters_pkg.vhd
mnemonics.vhd
regs_pkg.vhd
synch_pkg.vhd
ureg.vhd
src_op.vhd
rsynch.vhd
rreg.vhd
reg.vhd
rdff.vhd
ram_regs.vhd
q_reg.vhd
out_mux.vhd
ascount.vhd
am2901.vhd
alu.vhd
VIP VIP
0.195544s