首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > verilog 代码

verilog 代码

  • 资源大小:5.12 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 代码

资 源 简 介

下面的文件,包括各种RTL代码一样,全加器,defparam例如,还包括位运算符,逻辑运算符和多家运营商,半加器,复用器,多路复用器。这可能会帮助你理解的Verilog整个概念。

文 件 列 表

rtl
arith_op.v
array.v
bit_op.v
bi_dir_buf.v
concat_op.v
cond_op.v
defparam_exa.v
defparam_example.v
defparm_exa.v
equ_op.v
full_adder.v
half_adder.v
log_op.v
mux2_1.v
mux4_1.v
par_override.v
red_op.v
ripple_adder.v
shift_op.v
str_dis.v
VIP VIP
0.168880s