首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用verilog实现UART协议

用verilog实现UART协议

  • 资源大小:4.21 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 协议 uart 实现

资 源 简 介

UART包括发射机和接收机。发送器本质上是一个加载数据的特殊移位寄存器;

文 件 列 表

uart_code
uart_intf.v
uart_rx1.v
uart_rx_tb1.v
uart_tx.v
uart_tx_tb.v
VIP VIP
0.185333s