首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 电子密码锁的vhdl编程实现,不知以前有没有人做过的。

电子密码锁的vhdl编程实现,不知以前有没有人做过的。

  • 资源大小:2.88 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

电子密码锁的vhdl编程实现,不知以前有没有人做过的。-electronic locks VHDL programming, I wonder if the past is not done.

文 件 列 表

dis.vhd
fdiv.vhd
s_pw.vhd
VIP VIP
0.183727s