首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 可以用于按键去抖动的电路应用,采用vhdl编写

可以用于按键去抖动的电路应用,采用vhdl编写

资 源 简 介

可以用于按键去抖动的电路应用,采用vhdl编写-Button can be used to jitter circuit applications, the preparation of the use of VHDL

文 件 列 表

an_jian_qu_dou_dong
db
mapy.vhd
mapy.qpf
mapy.qsf
mapy.map.rpt
mapy.flow.rpt
mapy.map.summary
mapy.pin
mapy.fit.rpt
mapy.fit.smsg
mapy.fit.summary
mapy.sof
mapy.pof
mapy.asm.rpt
mapy.tan.summary
mapy.tan.rpt
mapy.done
mapy.vwf
mapy.sim.rpt
mapy.qws
VIP VIP
0.207157s