首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL参数化浮点乘法器

VHDL参数化浮点乘法器

  • 资源大小:2.07 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 参数 浮点 乘法器

资 源 简 介

资源描述利用VHDL语言编写的浮点乘法器,可自定义浮点数位数,即乘数的参数化。具体为二进制有符号的浮点乘法器,二进制补码进行浮点运算。浮点数的表示是仿照IEEE格式,设置成自定义形式。

文 件 列 表

浮点乘法器
FMUL.vhd
extern.vhd
VIP VIP
0.174062s