首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > implementation of Sine Wave Generator in VHDL

implementation of Sine Wave Generator in VHDL

  • 资源大小:9.78 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

上传脚本的源代码在vivado之前的xilinx集成开发环境14.7版本中使用VHDL进行编码。
VIP VIP
0.162907s