首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 多功能数字时钟 功能齐全 vhdl fp

多功能数字时钟 功能齐全 vhdl fp

  • 资源大小:376.89 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

多功能数字时钟 功能齐全 vhdl fp-Multi-functional digital clock vhdl fpaa

文 件 列 表

Digital6Counter
Digital6Counter.vhd
Digital6Counter.qpf
Digital6Counter.qsf
choice1from6.vhd
counter.vhd
leddrv.vhd
seg7_leddrv.vhd
Digital6Counter.map.summary
Digital6Counter.pin
Digital6Counter.fit.smsg
Digital6Counter.fit.summary
Digital6Counter.pof
Digital6Counter.tan.summary
Digital6Counter.done
Digital6Counter.dpf
Digital6Counter.map.rpt
Digital6Counter.fit.rpt
Digital6Counter.asm.rpt
Digital6Counter.tan.rpt
Digital6Counter.flow.rpt
Digital6Counter.qws
db
Digital6Counter.map.qmsg
seg7_leddrv.bsf
choice1from6.bsf
db
VIP VIP
0.185117s