首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > This is an 16 bit adder using vhdl

This is an 16 bit adder using vhdl

  • 资源大小:102.63 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

实现十六位加法器,是书籍上配套的应该可用-This is an 16 bit adder using vhdl

文 件 列 表

Adder
db
adder8.asm.rpt
adder8.done
adder8.fit.eqn
adder8.fit.rpt
adder8.fit.summary
adder8.flow.rpt
adder8.map.eqn
adder8.map.rpt
adder8.map.summary
adder8.pin
adder8.pof
adder8.qpf
adder8.qsf
adder8.qws
adder8.sof
adder8.tan.rpt
adder8.tan.summary
adder8.vhd
adder8_assignment_defaults.qdf
cmp_state.ini
fulladder.vhd
VIP VIP
0.181926s