首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐...

这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐...

资 源 简 介

这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐 -This is a Quartus II software in the preparation of the VHDL program. After the buzzer can be used to download music player

文 件 列 表

music_1
db
data_rom.bsf
data_rom.cmp
data_rom.vhd
display.bsf
display.vhd
music.asm.rpt
music.bdf
music.cdf
music.done
music.fit.rpt
music.fit.summary
music.flow.rpt
music.map.rpt
music.map.summary
music.pin
music.pof
music.qpf
music.qsf
music.qws
music.sim.rpt
music.sof
music.tan.rpt
music.tan.summary
music_1.bdf
music_description.txt
music_rom.bsf
music_rom.vhd
music_rom.vwf
music_rom_mif.mif
music_rom_stop.bsf
music_rom_stop.vhd
music_rom_stop.vwf
speaker.bsf
speaker.vhd
speaker.vwf
tone_rom.bsf
tone_rom.vhd
tone_rom_CtoG.vhd
VIP VIP
0.173913s