首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > This is achieved using VHDL positive and negative pulse width modulator, the sam...

This is achieved using VHDL positive and negative pulse width modulator, the sam...

  • 资源大小:169.81 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

这个是用VHDL实现的正负脉宽调制器,同样是对新手有帮助,高手不必看了。-This is achieved using VHDL positive and negative pulse width modulator, the same is to help novice, you do not have to read. Ha ha

文 件 列 表

lcnt8
db
lcnt.vhd
lcnt8.asm.rpt
lcnt8.bdf
lcnt8.done
lcnt8.fit.eqn
lcnt8.fit.rpt
lcnt8.fit.summary
lcnt8.flow.rpt
lcnt8.map.eqn
lcnt8.map.rpt
lcnt8.map.summary
lcnt8.pin
lcnt8.pof
lcnt8.qpf
lcnt8.qsf
lcnt8.qws
lcnt8.sim.rpt
lcnt8.sof
lcnt8.tan.rpt
lcnt8.tan.summary
lcnt8.vwf
VIP VIP
0.173221s