首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL语言在CPLD上实现串行通信

用VHDL语言在CPLD上实现串行通信

  • 资源大小:4.04 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL c++ vhdl 语言 CPLD 串行 通信

资 源 简 介

用VHDL语言在CPLD上实现串行通信-using VHDL on the CPLD Serial Communication

文 件 列 表

用VHDL语言在CPLD上实现串行通信.htm
VIP VIP
  • 2小时前 成为了本站会员

  • 睥睨 1天前 成为了本站会员

  • ? 1天前 成为了本站会员

  • IATWAY 2天前 成为了本站会员

  • 大智若愚 2天前 成为了本站会员

  • Mason 2天前 成为了本站会员

  • 2天前 成为了本站会员

  • Half_Punch 3天前 成为了本站会员

  • liqing71718 3天前 成为了本站会员

  • 伟国 3天前 成为了本站会员

0.230082s