首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 本人初学VHDL时编的比较系统的VHDL源程序 巨实用

本人初学VHDL时编的比较系统的VHDL源程序 巨实用

  • 资源大小:13.50 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

本人初学VHDL时编的比较系统的VHDL源程序 巨实用 -I am learning more systematic series of practical VHDL source Giant

文 件 列 表

VHDL程序集
10110101序列信号发生器.vhd
44键盘扫描电路.vhd
addr.vhd
addr_8.vhd
and2.vhd
and4.vhd
keyscan66
keyscan66noreset
rom.vhd
xnor2.vhd
七人表决电路.vhd
三八译码器.vhd
八位减法器.vhd
八位加法器.vhd
八位求补器.vhd
八位移位寄存器.vhd
八节拍信号发生器.vhd
包集合.vhd
变速连续显示.vhd
四位二进制转换为两位BCD码.vhd
平方发生器.vhd
数据流描述四位等值比较器.vhd
结构描述四位等值比较器.vhd
行为描述四位等值比较器.vhd
译码器0~f.vhd
VIP VIP
0.165311s