首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 非常好的VHDL音乐

非常好的VHDL音乐

  • 资源大小:6.99 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 音乐 非常

资 源 简 介

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  

文 件 列 表

MAX+PLUS II BASELINE Version 10.2 Software
BASELINE 10.2 Installation Instructions.doc
MAX+PLUS II BASELINE Version 10.2 Software
安装软件.bat
VIP VIP
0.187797s