首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 以VHDL为第一通用代码的N位加法器

以VHDL为第一通用代码的N位加法器

  • 资源大小:464.06 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

32位加法器作为VHDL编写的第一个代码;

文 件 列 表

Adder 32 bit
_xmsgs
xst
isim
iseconfig
ipcore_dir
adder.cmd_log
adder.lso
adder.ngc
adder.ngr
adder.prj
adder.stx
adder.syr
adder.vhd
adder.xst
Adder1.gise
Adder1.xise
adder_beh.prj
adder_envsettings.html
adder_isim_beh.exe
adder_isim_beh.wdb
adder_summary.html
adder_xst.xrpt
fuse.log
fuse.xmsgs
fuseRelaunch.cmd
isim.cmd
isim.log
webtalk_pn.xml
xilinxsim.ini
VIP VIP
0.217573s