首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 本程序是用VHDL语言实现电子密码锁功能,整个系统分为三大模块,一为控制模块,二为键盘显示模块,三为处理模块...

本程序是用VHDL语言实现电子密码锁功能,整个系统分为三大模块,一为控制模块,二为键盘显示模块,三为处理模块...

  • 资源大小:263.09 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

本程序是用VHDL语言实现电子密码锁功能,整个系统分为三大模块,一为控制模块,二为键盘显示模块,三为处理模块-This procedure is a VHDL language electronic code lock function, the entire system is divided into three modules, one for the control module, two for the keyboard display module, three modules for the treatment

文 件 列 表

elec_lock(电子密码锁)
db
cmp_state.ini
elec_lock.asm.rpt
elec_lock.cmp.rpt
elec_lock.done
elec_lock.fit.eqn
elec_lock.fit.rpt
elec_lock.fit.summary
elec_lock.flow.rpt
elec_lock.map.eqn
elec_lock.map.rpt
elec_lock.map.summary
elec_lock.pin
elec_lock.pof
elec_lock.qpf
elec_lock.qsf
elec_lock.qws
elec_lock.sof
elec_lock.tan.rpt
elec_lock.tan.summary
elec_lock.vhd
elec_lock.vwf
elec_lock_assignment_defaults.qdf
elec_lock(电子密码锁).html
FENPIN.vhd
VIP VIP
0.180198s