首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 数字秒表设计

数字秒表设计

  • 资源大小:195.87 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 秒表 设计 数字

资 源 简 介

资源描述这个秒表特点是计数到59分59秒9,并且有可以让计数暂停和清零。采用了二分频,六进制和十进制组合,加上扫描电路设计而成的。

文 件 列 表

aa
aa.bsf
aa.vhd
aa.vhd.bak
Block1.bdf
cnt1.bsf
cnt1.vhd
cnt1.vhd.bak
cnt10.bsf
cnt10.vhd
cnt10.vhd.bak
db
incremental_db
pulse.asm.rpt
pulse.bsf
pulse.done
pulse.dpf
pulse.fit.rpt
pulse.fit.smsg
pulse.fit.summary
pulse.flow.rpt
pulse.map.rpt
pulse.map.summary
pulse.pin
pulse.pof
pulse.qpf
pulse.qsf
pulse.qws
pulse.sof
pulse.tan.rpt
pulse.tan.summary
pulse.vhd
pulse.vhd.bak
pulse1.bsf
pulse1.vhd
pulse1.vhd.bak
scan_led.bsf
scan_led.vhd
scan_led.vhd.bak
VIP VIP
0.171872s