首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 以前学习VHDL语言时做的一个电子闹钟程序,可以实现时,分,秒的计时以及定时,校时,闹钟,整点报时的功能。...

以前学习VHDL语言时做的一个电子闹钟程序,可以实现时,分,秒的计时以及定时,校时,闹钟,整点报时的功能。...

  • 资源大小:166.04 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

以前学习VHDL语言时做的一个电子闹钟程序,可以实现时,分,秒的计时以及定时,校时,闹钟,整点报时的功能。-VHDL language before learning to do procedures in an electronic alarm clock, you can realize hours, minutes and seconds of time and from time to time, school time, alarm clock, the whole point timekeeping function.

文 件 列 表

clock
alarm.acf
alarm.cnf
alarm.fit
alarm.hex
alarm.hif
alarm.mmf
alarm.ndb
alarm.pin
alarm.pof
alarm.rpt
alarm.snf
alarm.sof
ALARM.sym
alarm.ttf
alarm.vhd
clock60(1).cnf
clock60(10).cnf
clock60(11).cnf
clock60(2).cnf
clock60(3).cnf
clock60(4).cnf
clock60(5).cnf
clock60(6).cnf
clock60(7).cnf
clock60(8).cnf
clock60(9).cnf
clock60.acf
clock60.cnf
clock60.fit
clock60.gdf
clock60.hex
clock60.hif
clock60.inc
clock60.mmf
clock60.ndb
clock60.pin
clock60.pof
clock60.rpt
clock60.snf
clock60.sof
clock60.sym
clock60.ttf
cnt24(2).cnf
cnt24(3).cnf
cnt24(4).cnf
cnt24(5).cnf
cnt24.acf
cnt24.cnf
cnt24.fit
cnt24.hex
cnt24.hif
cnt24.mmf
cnt24.ndb
cnt24.pin
cnt24.pof
cnt24.rpt
cnt24.snf
cnt24.sof
CNT24.sym
cnt24.tdf
cnt24.ttf
cnt24.vhd
cnt60(1).cnf
cnt60(2).cnf
cnt60(3).cnf
cnt60(4).cnf
cnt60.acf
cnt60.cnf
cnt60.fit
cnt60.hex
cnt60.hif
cnt60.mmf
cnt60.ndb
cnt60.pin
cnt60.pof
cnt60.rpt
cnt60.scf
cnt60.snf
cnt60.sof
CNT60.sym
cnt60.ttf
cnt60.vhd
LIB.DLS
select2.acf
select2.cnf
select2.fit
select2.hex
select2.hif
select2.mmf
select2.ndb
select2.pin
select2.pof
select2.rpt
select2.snf
select2.sof
SELECT2.sym
select2.ttf
select2.vhd
U1001081.DLS
U4940845.DLS
U5716616.DLS
U6780731.DLS
U8869702.DLS
U9629145.DLS
VIP VIP
0.179875s