首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。

通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。

  • 资源大小:15.61 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。 -Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code, through simulation.

文 件 列 表

通用串行异步收发器8251的VerilogHDL源代码.doc
VIP VIP
0.191805s