首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL实现视频控制程序,实现对图像的采集和压缩,

用VHDL实现视频控制程序,实现对图像的采集和压缩,

  • 资源大小:421.25 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

用VHDL实现视频控制程序,实现对图像的采集和压缩,-Using VHDL realize video control procedures, to achieve image acquisition and compression,

文 件 列 表

CODIGO VHDL
adaptador_resolucion.vhd
comparador.vhd
config_procvideo1.vhd
contador.vhd
controla_enable.vhd
controla_inter.vhd
FLANCO_LLC.VHD
gencolorversionantonio.vhd
interfazv1.vhd
interruptor.vhd
libreria_i2c.vhd
minitoprincipal.vhd
Proc.vhd
registro_pixel.vhd
selecc_pixel.vhd
sincronismo.vhd
top_config_c1.vhd
yuv.vhd
Diagramas
ADAPTADOR_RESOLUCION.bmp
CONFIG_PROCVIDEO.bmp
CONTROLA_ENABLE.bmp
controla_enable.jpg
CONTROLA_INTER.bmp
controla_inter.jpg
DIBUJITO.bmp
INTERFAZ.bmp
REG_BUF.bmp
SELECC_PIXEL.bmp
selecc_pixel.jpg
sincronismo.jpg
SINCRONISMO_PANTALLA.bmp
TOP.bmp
MEMORIA.PDF
presentacion.ppt
VIP VIP
0.183603s