首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 8051MCU in the FPGA to achieve the source code, using VHDL language

8051MCU in the FPGA to achieve the source code, using VHDL language

  • 资源大小:398.25 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

8051MCU在FPGA上实现的源代码,用VHDL语言编写-8051MCU in the FPGA to achieve the source code, using VHDL language

文 件 列 表

mc8051
dc
docu
msim
synpl
tb
version.txt
vhdl
vss
VIP VIP
0.178059s