首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL,схемапроцессора,созданиесвоегоустройства

VHDL,схемапроцессора,созданиесвоегоустройства

  • 资源大小:87.33 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

应用背景Нарисунке1показанацифроваясистема,котораясостоитизнескольких9разрядныхрегистров,мультиплексора,блокасуммирования-вычитанияиблокуправления(конечныйавтомат)。Данныепоступаютвсистемучерез9разрядныйвходДин。Этиданныемогутбытьзагруженычерезмультиплексорвразличныерегистры:регистрыR0,……,R7Сив。Мультиплексортакжепозволяетпередаватьданныеотодногорегистрадругому。Суммированиеивычитаниевыполняютсятакжеприпомощимультиплексора。Приэтомодноизчиселзагружаетсявдополнительныйрегистрсобой。Послевыполненияарифметическойоперациирезультатпомещаетсяврегистрг。ДанныеизрегистрагзатемпередаютсяводинизрегистровР0,……,С7。关键技术Системаможетвыполнятьразличныеоперациивкаждомтакте,взависимостиоткомандблокауправления。Этотблокопределяет,какиеданныедолжныбытьпомещенынашинуивкакойизрегистровонидолжныбытьзагружены。Например,еслиблокуправленияустановитсигналыr0outиАйн,томультиплексорподключитвыходрегистраR0внашину,иэтиданныебудутзагруженынаследующемфронтесигналачасыврегистрсобой。

文 件 列 表

Семинар 1
proc.vhd
proc_tb.vhd
семинар 1.docx
VIP VIP
0.189597s