首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 双向移位寄存器的VHDL源程序,自己做实验编写的可以用 谢谢大家...

双向移位寄存器的VHDL源程序,自己做实验编写的可以用 谢谢大家...

资 源 简 介

双向移位寄存器的VHDL源程序,自己做实验编写的可以用 谢谢大家-Bi-directional shift register of the VHDL source code, prepared by their own experiments can be used Thank you

文 件 列 表

shiftdata.v
VIP VIP
0.170781s