首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > ROM模块,功能在于,是创建一个简易的rom模块

ROM模块,功能在于,是创建一个简易的rom模块

  • 资源大小:2.31 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

ROM模块,功能在于,是创建一个简易的rom模块-rom

文 件 列 表

ROM
#ROM.vhd#
ROM.vhd
ROM.vhd.bak
rom_init.txt
ROM_tb.vhd
VIP VIP
0.176076s