首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 全数字fsk调制解调的实现 verilog源码

全数字fsk调制解调的实现 verilog源码

资 源 简 介

全数字fsk调制解调的实现 verilog源码-All-digital realization of fsk modem verilog source code

文 件 列 表

2fsk_final
db
2fsk_final.asm.rpt
2fsk_final.bdf
2fsk_final.done
2fsk_final.dpf
2fsk_final.fit.rpt
2fsk_final.fit.summary
2fsk_final.flow.rpt
2fsk_final.map.rpt
2fsk_final.map.summary
2fsk_final.pin
2fsk_final.pof
2fsk_final.qpf
2fsk_final.qsf
2fsk_final.qws
2fsk_final.sdc
2fsk_final.sim.rpt
2fsk_final.sof
2fsk_final.tan.rpt
2fsk_final.tan.summary
2fsk_final.vwf
div1024.bsf
div1024.vhd
div128.bsf
div128.vhd
div128.vhd.bak
div16.bsf
div16.vhd
div16.vhd.bak
div64.bsf
div64.vhd
div64.vhd.bak
div8.bsf
div8.map.summary
div8.qsf
div8.qws
div8.vhd
div8.vhd.bak
dpll.bsf
dpll.vhd
f1_zb.bsf
f1_zb.vhd
f2_zb.bsf
f2_zb.vhd
f2_zb.vhd.bak
lpf.bsf
lpf.vhd
m5.bsf
m5.vhd
m5.vhd.bak
mux.bsf
mux.vhd
mx_7821.bsf
mx_7821.vhd
mx_7821.vhd.bak
pj.bsf
pj.vhd
pj.vhd.bak
pulse.bsf
pulse.vhd
rom16.hex
rom16_1.bsf
rom16_1.vhd
sin16.mif
undo_redo.txt
wf.bsf
wf.vhd
zx.bsf
zx.vhd
zx.vhd.bak
VIP VIP
0.274419s