首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 这是一个用VHDL语言写的数码管程序.有用着

这是一个用VHDL语言写的数码管程序.有用着

资 源 简 介

这是一个用VHDL语言写的数码管程序.有用着-This is a VHDL language used to write the digital tube procedures. Useful to an

文 件 列 表

S3_SEG7DISP
db
B3X8.VHD
SEG7DISP.asm.rpt
SEG7DISP.bdf
SEG7DISP.cdf
SEG7DISP.done
SEG7DISP.fit.eqn
SEG7DISP.fit.rpt
SEG7DISP.fit.summary
SEG7DISP.flow.rpt
SEG7DISP.map.eqn
SEG7DISP.map.rpt
SEG7DISP.map.summary
SEG7DISP.pin
SEG7DISP.pof
SEG7DISP.qpf
SEG7DISP.qsf
SEG7DISP.qws
SEG7DISP.tan.rpt
SEG7DISP.tan.summary
Seg7_Dsp.bsf
Seg7_dsp.vhd
b3x8.bsf
cmp_state.ini
fenping.bsf
fenping.vhd
SEG7DISP_assignment_defaults.qdf
VIP VIP
0.173599s