首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 这个程序是基于等精度测频原理的频率计,用VHDL语言实现,频率测量测量范围1~9999;用4位带小数点数码管显示其频率,并且具有超量程、欠量程提示功能。...

这个程序是基于等精度测频原理的频率计,用VHDL语言实现,频率测量测量范围1~9999;用4位带小数点数码管显示其频率,并且具有超量程、欠量程提示功能。...

资 源 简 介

这个程序是基于等精度测频原理的频率计,用VHDL语言实现,频率测量测量范围1~9999;用4位带小数点数码管显示其频率,并且具有超量程、欠量程提示功能。-This procedure is based on the principle of frequency measurement accuracy, such as the frequency meter, using VHDL language, frequency measurement range 1 ~ 9999 with four decimal places with the frequency of the digital display and has a super-range, less range prompts.

文 件 列 表

frequency
timing
simulation
db
frequency.asm.rpt
frequency.done
frequency.eda.rpt
frequency.fit.eqn
frequency.fit.rpt
frequency.fit.summary
frequency.flow.rpt
frequency.map.eqn
frequency.map.rpt
frequency.map.summary
frequency.pin
frequency.pof
frequency.qpf
frequency.qsf
frequency.sof
frequency.tan.rpt
frequency.tan.summary
frequency.vhd
VIP VIP
0.175450s