首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VGA 测试程序,可显示彩色条纹,用vhdl语言编写,经过测试,运行稳定,带有注释!...

VGA 测试程序,可显示彩色条纹,用vhdl语言编写,经过测试,运行稳定,带有注释!...

  • 资源大小:319.19 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

VGA 测试程序,可显示彩色条纹,用vhdl语言编写,经过测试,运行稳定,带有注释!-VGA test procedure can be displayed color stripes, using VHDL language, tested and stable operation with Notes!

文 件 列 表

VGA_STUDY
db
vga.qpf
vga.qsf
vga.vhd
vga.map.rpt
vga.flow.rpt
vga.map.summary
vga.merge.rpt
vga.pin
vga.fit.rpt
vga.fit.smsg
vga.fit.summary
vga.sof
vga.pof
vga.asm.rpt
vga.tan.summary
vga.tan.rpt
vga.done
vga.vwf
vga.qws
vga.saf
vga.sim.rpt
Waveform1.vwf
vga.sdc
Waveform2.vwf
VIP VIP
0.186762s