首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用maxplus2实现的一种通用逻辑模块

用maxplus2实现的一种通用逻辑模块

  • 资源大小:426 K
  • 上传时间:2022-07-26
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: maxplus2 逻辑 模块

资 源 简 介

用maxplus2实现的一种通用逻辑模块,背景是一个基于dsp的嵌入式开发板,上面的逻辑模块全用cpld实现。此模块可以供以后的嵌入式开发作参考。
VIP VIP
0.179552s