首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL语言进行,调试通

VHDL语言进行,调试通

  • 资源大小:112.15 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others vhdl 调试 语言 进行

资 源 简 介

用VHDL语言编写,在MAXPLUS2下调试通过-VHDL language, debug through MAXPLUS2

文 件 列 表

VHDL_作业
control_produce.scf
control_produce.vhd
control_produce_sim.JPG
counter1000.scf
counter1000.vhd
light_produce.scf
light_produce.vhd
readme.txt
top.jpg
top.scf
top.vhd
top_sim.JPG
VHDL作业.doc
VIP VIP
0.169114s