首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 本程序是一个用VHDL编写的数码管扫描显示控制器的设计与实现的程序

本程序是一个用VHDL编写的数码管扫描显示控制器的设计与实现的程序

  • 资源大小:106 K
  • 上传时间:2022-04-05
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL 程序 数码管 显示控制器

资 源 简 介

本程序是一个用VHDL编写的数码管扫描显示控制器的设计与实现的程序,仅供学习。
VIP VIP
0.185279s