首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 利用if语句实现流水灯设计。工具:Quartus ii 6.0 语言:VHDL

利用if语句实现流水灯设计。工具:Quartus ii 6.0 语言:VHDL

  • 资源大小:104 K
  • 上传时间:2022-02-22
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Quartus VHDL 6.0 ii

资 源 简 介

利用if语句实现流水灯设计。工具:Quartus ii 6.0 语言:VHDL
VIP VIP
0.182343s