首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > FPGA实现DDS正弦波、方波、三角波发生器Verilog程序(已验证)Quartus工程文件

FPGA实现DDS正弦波、方波、三角波发生器Verilog程序(已验证)Quartus工程文件

  • 资源大小:16.00M
  • 上传时间:2021-08-10
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

鉴于上次传的只有Verilog代码,怕对于像半年前的我一样的初学者仍然会遇到很大困难,现特把本人课程设计的整个Quartus工程文件一并上传,希望有用。用时只需用Quartus打开工程文件即可编译运行,频率可达16M没问题
VIP VIP
0.183737s