首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > PWM占空可调节verilog例程

PWM占空可调节verilog例程

  • 资源大小:7.63KB
  • 上传时间:2021-09-09
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

本例子中使用时钟为24M,输出的频率为6khz,pwm信号占空比可调节为10% 18% 26% %32 %40 50% 58% 66% 74% 82% 95% ;inc dec实现加减占空比。加到95%时不在增加,减到10%时也不在减,
VIP VIP
0.260953s