首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > FFT代码Verilog

FFT代码Verilog

  • 资源大小:9.52 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog fft 代码

资 源 简 介

快速傅里叶变换 (FFT) 是一种算法来计算离散傅里叶变换 (DFT)和它的逆矩阵。傅里叶分析的时间 (或空间) 转换频率,反之亦然 ;FFT 快速计算这种转换 byfactorizing DFT 矩阵成稀疏的因素的产物。16point FFT 代码 ~此代码是对你的 verilog 的 FFT 算法研究非常有用。我希望这会对你有帮助。

文 件 列 表

bfly_1.v
commutator.v
commutator_1.v
count_16.v
floating_multiplier.v
rom_1.v
shift_register_1.v
shift_register_12.v
shift_register_2.v
shift_register_3.v
shift_register_4.v
shift_register_8.v
tb_fft_top.v
tb_fft_top.v.bak
test_ram.v
test_rom.v
top_fft.v
VIP VIP
0.175750s