首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于FPGA的数字钟

基于FPGA的数字钟

  • 资源大小:282.74 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl fpga 基于 数字钟

资 源 简 介

1.设计一个具有24进制计时、显示、整点报时、时间设置和闹钟功能的数字钟,要求时钟的最小分辨率时间为1s。2.多功能数字钟系统功能的具体描述如下:     计时:正常工作状态下,每日按24小时计时制计时并显示,蜂鸣器逢整点报时。     校时: 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报

文 件 列 表

数字钟1
多功能数字电子钟_VHDL.doc
数字逻辑课程设计.doc
源文件
VIP VIP
0.185973s