首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 串行至并行转换器

串行至并行转换器

  • 资源大小:65.42 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: Verilog verilog 转换器 并行 行至

资 源 简 介

将串行数据转换为并行的 Verilog 代码。从 rs232 端口的 8 位串行数据转换为 8 位并行数据。

文 件 列 表

ser_to_par_converter
_xmsgs
xst
work
iseconfig
ipcore_dir
transcript
.lso
controller.fdo
controller.prj
controller.stx
controller.udo
controller.xst
controller_wave.fdo
ser_to_par_converter.cmd_log
ser_to_par_converter.fdo
ser_to_par_converter.gise
ser_to_par_converter.lso
ser_to_par_converter.ngc
ser_to_par_converter.ngr
ser_to_par_converter.prj
ser_to_par_converter.stx
ser_to_par_converter.syr
ser_to_par_converter.udo
ser_to_par_converter.v
ser_to_par_converter.xise
ser_to_par_converter.xst
ser_to_par_converter_envsettings.html
ser_to_par_converter_summary.html
ser_to_par_converter_wave.fdo
ser_to_par_converter_xst.xrpt
vsim.wlf
webtalk_pn.xml
VIP VIP
0.187715s