首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 简单 VHDL 波斯语

简单 VHDL 波斯语

  • 资源大小:366.60 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

Vhdl 语言在 pdf 格式的波斯语。你可以学习编码用 vhdl 语言、 fpga、 盖茨、 专用集成电路、 cpu 编程,在 2 个部分。

文 件 列 表

VHDL for logic course 2.pdf
VHDL for logic course .pdf
VIP VIP
0.183781s