首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > AHB 转移到 APB 源和建业读/写 verilog 代码

AHB 转移到 APB 源和建业读/写 verilog 代码

资 源 简 介

转换AHB外围转移到APB转移16槽孔APB桥提供高速AHB之间的界面域和低功率的APB域。大桥出现在AHB奴隶,而在APB,它是主人。读取和写入的AHB接送转换成相应的APB传输。由于APB不流水线,等待状态转移过程中加入,并从建业的时候在AHB需要等待APB协议。在AHB到APB桥包括一个状态机,它被用来控制产生的APB和AHB输出信号,以及地址解码逻辑,用于生成所述APB外设选择线。在系统中使用的所有寄存器被从的上升沿时钟系统时钟HCLK,并使用异步复位HRESETn

文 件 列 表

apb.v
Ahb2Apb.v
VIP VIP
0.177408s