首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > UDP协议的Verilog代码

UDP协议的Verilog代码

  • 资源大小:22.08 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 代码 协议 udp

资 源 简 介

采用Verilog语法编写的UDP协议网络  能够实现UDP包的发送和接收 采用Verilog语法编写的UDP协议网络  能够实现UDP包的发送和接收 采用Verilog语法编写的UDP协议网络  能够实现UDP包的发送和接收

文 件 列 表

UDP1111
DE2_NET.v
DE2_NET.v.bak
IP_recv.v
IP_send.v
arp_rcv.v
arp_send.v
mac_cache.v
recv_buffer.v
send_buffer.v
toplevel.v
udp_rcv.v
udp_send.v
VIP VIP
0.174279s