首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 5位计数器

5位计数器

  • 资源大小:48.33 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 计数器

资 源 简 介

应用背景计数器是一个连续的电路,计数。这意味着它的收益通过一个预先定义的序列的状态的电路的状态是由所有的触发器的状态决定的。最基本的计数器将只增加1的每一个时钟脉冲,所以状态100将达到101,下一个脉冲将让它切换到110这是可能的设计与任何需要计数的计数器序列。关键技术频率计数器数字钟时间测量模数转换器分频电路数字三角波发生器。

文 件 列 表

INCA_libs
worklib
irun.lnx86.10.20.nc
irun.nc
irun.nc
xllibs
waves.shm
waves-1.trn
waves.trn
waves.dsn
counter.sv
counter.v
irun.key
irun.log
simvision32280.diag
testbench.sv
VIP VIP
  • Mason 29分钟前 成为了本站会员

  • 59分钟前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

  • 52JOY... 1天前 成为了本站会员

  • Sirius 2天前 成为了本站会员

0.179092s