首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > DDR SDRAM控制器的VHDL代码

DDR SDRAM控制器的VHDL代码

  • 资源大小:13.43 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

DDR SDRAM控制器的VHDL代码已经测试-DDR SDRAM controller VHDL code

文 件 列 表

ddr_sdram_controller_vhdl
altclklock.v
ddr_Command.v
ddr_control_interface.v
ddr_data_path.v
ddr_sdram.v
Params.v
pll1.v
VIP VIP
0.195506s