首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 程序是用硬件描述语言(VHDL)实现:4×4键…

程序是用硬件描述语言(VHDL)实现:4×4键…

资 源 简 介

程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware description language (VHDL) to achieve : 4* 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL

文 件 列 表

KEY_SCAN
pailie.vwf
KEY_SCAN.qpf
KEY_SCAN.qsf
Keyboard.vwf
KEY_SCAN.done
KEY_SCAN.map.rpt
KEY_SCAN.flow.rpt
KEY_SCAN.map.summary
KEY_SCAN_lie.vwf
pailie.vhd
KEY_SCAN.map.eqn
KEY_SCAN.fit.eqn
KEY_SCAN.pin
KEY_SCAN.fit.rpt
KEY_SCAN.fit.summary
KEY_SCAN.sof
KEY_SCAN.pof
KEY_SCAN.asm.rpt
KEY_SCAN.tan.summary
KEY_SCAN.tan.rpt
READ_LIE.vhd
key.vhd
read_lie.bsf
out_hang.bsf
out_hang.vhd
BL.vhd
KEY_SCAN.bdf
Keyboard.vhd
KEY_SCAN.sim.rpt
fpga_s51_0.vhd
FPGA_S51_0.bsf
FPGA_S51_0.vwf
KEY_SCAN.qws
cmp_state.ini
out_hang2.vhd
out_hang2.vwf
OUT_HANG2.bsf
KEY_SCAN.vwf
KEY_SCAN.bsf
BCD_BIN.vhd
MUL_10_waveforms.html
MUL_10_wave0.jpg
MUL_10.vhd
MUL_10.inc
Keyboard.bsf
pailie.bsf
KEY_SCAN_lie.bdf
sim.cfg
KEY_SCAN_assignment_defaults.qdf
db
KEY_SCAN.db_info
db
VIP VIP
0.161508s